评级(增持)半导体行业深度分析报告:光刻为半导体设备之巅,冰山峰顶待国产曙光

发布时间: 2023年02月24日    作者: xn2oyhja    栏目:行业研报

股票代码 :
股票简称 :
报告名称 :半导体行业深度分析报告:光刻为半导体设备之巅,冰山峰顶待国产曙光
评级 :增持
行业:


半导体 / 行业深度分析报告 / 2023.02.23 光刻为半导体设备之巅,冰山峰顶待国产曙光

证券研究报告

投资评级:看好(维持)
光刻设备行业报告
最近 12 月市场表现核心观点
0%半导体沪深300光刻是半导体生产中最重要的工艺步骤:光刻是半导体器件制造的最核心
步骤,直接决定集成电路的性能和良率。光刻机的核心工艺指标包括:分辨率、
-7%聚焦深度、套刻精度、曝光功率及单位时间产能等。主流的光刻机均采用浸没
系统、可编程光照、畸变修正、热效应修正、对准与表面测量等高难度技术。
-14%
光刻设备均具有高技术附加值,毛利率超过 50%
-21%
-29%成熟工艺领域为主,国内光刻设备市场广阔:中国大陆以成熟制程为主的
-36%

半导体产线不断扩产,产生了大量光刻设备的需求。2021 ASML 有高达

27.4 亿欧元的营收来自中国大陆(其全球第三大市场);全球光刻设备市场规

分析师张益敏模超 180 亿美元,显影涂胶设备市场超 30 亿美元。国产光刻曝光设备尚处于 实验阶段;显影涂胶设备已覆盖浸没式 ArFi 工艺节点,突破动能强劲。
SAC 证书编号:S0160522070002 zhangym02@ctsec.com

光刻机上游零部件市场有待发掘:光刻机的运行需光源、照明、掩膜台、

相关报告
  1. 《细致检测攻坚克难,精准度量引领

物镜、工件台等多个精密系统组合工作,全球光刻零件市场至少约 70 亿美元(按 50%毛利率推算)。相比其他半导体零件,光刻机零件单个价值量高,技

进步》 2022-12-03 术难度大。贸易限制导致海外供应链风险剧增,国内光学企业成为不二之选,技术能力与对应产品的盈利能力有望加速成长。

投资建议:在光刻配套设备与零件方面,建议关注苏大维格(300311.SZ)、茂莱光学(688502.SH)、芯源微(688037.SH)、精测电子(300567.SZ)、盛美上 海(688082.SH)、美埃科技(688376.SH)、炬光科技(688167.SH)、福晶科技(002222.SZ)等。

风险提示:半导体行业景气度下滑,光刻设备需求不及预期;贸易保护主义 因素导致国内晶圆厂扩产放缓;光刻设备与组件技术难度大,相关研发进度可 能慢于预期。

请阅读最后一页的重要声明!

半导体 / 行业深度分析报告 / 2023.02.23
行业深度分析报告/证券研究报告

1:重点公司投资评级:
代码公司总市值
(亿元)
收盘价
02.22
EPS(元)PE 投资评级
2021A 2022E 2023E 2021A 2022E 2023E
300331 苏大维格63.23 24.35 -1.44 -1.10 0.77 -23.15 -22.14 31.62 增持
688502 茂莱光学0.00 0.00 1.19 0.00 未覆盖
688037 芯源微193.55 208.97 0.92 1.80 2.60 183.48 116.09 80.37 增持
300567 精测电子177.90 63.96 0.72 0.90 1.30 100.60 71.07 49.20 增持
300260 新莱应材167.43 73.90 0.75 1.49 1.97 62.89 49.60 37.51 增持
688376 美埃科技51.21 38.10 1.07 1.15 1.42 0.00 33.13 26.83 增持
688409 富创精密239.57 114.60 0.81 1.02 1.53 0.00 112.35 74.90 增持
688167 炬光科技99.14 110.20 1.00 1.42 2.17 219.00 77.42 50.80 未覆盖
002222 福晶科技74.56 17.44 0.45 0.52 0.62 42.29 33.73 28.26 未覆盖
688082 盛美上海369.35 85.19 0.68 1.20 1.60 187.94 70.99 53.24 增持

数据来源:wind,财通证券研究所. 未覆盖公司的预测数据来源于 wind 一致预期

谨请参阅尾页重要声明及财通证券股票和行业评级标准 2

行业深度分析报告/证券研究报告

内容目录
1光刻设备:半导体制造的核心装备 ....................................................................................................... 9
1.1光刻:决定芯片性能最关键工艺 ....................................................................................................... 9
1.2光刻图谱:多种路线并存,扫描式光刻为主流 ............................................................................. 13
1.2.1接触/接近式光刻机(Aligner):光刻设备鼻祖 ......................................................................... 14
1.2.2扫描投影/重复步进光刻机(Stepper):仍满足大线宽工艺 .................................................... 15
1.2.3步进扫描光刻机(Scanner):主流光刻设备通用 ..................................................................... 16
1.3各项革新推向光刻性能巅峰 ............................................................................................................. 16
1.4电子束、纳米压印:潜在的另辟蹊径 ............................................................................................. 20
2光刻机:多种先进系统的精准组合 ..................................................................................................... 21
2.1光刻机的整体结构 ............................................................................................................................. 21
2.2光源系统:光刻机的能量源泉 ......................................................................................................... 22
2.3照明与物镜投影系统:精准成像 ..................................................................................................... 26
2.4工件台系统:光刻产能与精确对准的关键 ..................................................................................... 30
3光刻设备市场规模大,国产亟待零的突破 ......................................................................................... 33
3.1芯片制程升级,光刻设备成本占比不断提升 ................................................................................. 33
3.2ASML 拥有近乎垄断市场地位 ........................................................................................................ 35
3.3ASML 的发展历程 ............................................................................................................................ 36
3.4聚焦成熟制程,光刻设备国产化亟待发力 ..................................................................................... 39
4投资建议:整机尚需时日,配套设备与零件先行 ............................................................................. 43
4.1苏大维格:发力非 IC 光刻机与多种光学元件 .............................................................................. 43
4.2茂莱光学:供应多种前道光刻机零件 ............................................................................................. 47
4.3芯源微:光刻机配套显影涂胶设备先行者 ..................................................................................... 48
4.4精测电子:光刻涂胶显影后电路量测设备 ..................................................................................... 48
4.5盛美上海:开发显影涂胶设备,扩大产品工艺覆盖 ..................................................................... 49
4.6美埃科技:有力保证光刻净化环境 ................................................................................................. 50
4.7福晶科技:激光晶体打入 ASML 供应链 ....................................................................................... 50
4.8炬光科技:光刻机电子及光学元件供应商 ..................................................................................... 51
5风险提示 ................................................................................................................................................. 53
谨请参阅尾页重要声明及财通证券股票和行业评级标准3
行业深度分析报告/证券研究报告

图表目录
1. 光刻产业链格局图 ............................................................................ 2. 芯片性能的发展整体遵循摩尔定律 ................................................ 3. 光刻的基本原理 ................................................................................ 4. 芯片生产的工艺步骤 ........................................................................ 5. ASML 光刻机的全球供应链 ............................................................ 6. 多类设备与光刻密切相关 ................................................................ 7. 光刻配套的量测设备/部件............................................................... 8. 光刻计算系统 .................................................................................... 9. 光刻机描绘电路的图纸:掩模版 .................................................... 10. 光刻机绘图的底板:涂布中的光刻胶 .......................................... 11. 光刻技术的发展图谱 ...................................................................... 12. 接触/接近式光刻机......................................................................... 13. 德国苏斯公司的光刻机 .................................................................. 14. 初代投影光刻机的工作原理 .......................................................... 15. 三种投影光刻机的成像方式 .......................................................... 16. 上海微电子步进重复光刻机 .......................................................... 17. 步进扫描式光刻双工件台 .............................................................. 18. 步进扫描光刻机运动原理 .............................................................. 19. 7 纳米(含)前制程均用 DUV 光刻机完成 ................................. 20. ASML 光刻机性能参数不断进步 .................................................. 21. 光刻机的浸没式系统 ...................................................................... 22. ASML 市场份额快速攀升 .............................................................. 23. 双重光刻工艺(LELE ............................................................... 24. 利用侧墙实现的自对准双重图形(SADP ............................... 25. 套刻误差对图案的影响 .................................................................. 26. 极紫外光刻机的应用 ...................................................................... 27. EUV 光刻极大简化工艺步骤 ......................................................... 28. EUV 光刻机 ..................................................................................... 29. 电子束光刻 ...................................................................................... 30. 紫外固化纳米压印技术 ..................................................................
谨请参阅尾页重要声明及财通证券股票和行业评级标准
..................................................... 7 ..................................................... 9 ................................................... 10 ................................................... 10 ................................................... 11 ................................................... 12 ................................................... 12 ................................................... 12 ................................................... 13 ................................................... 13 ................................................... 14 ................................................... 14 ................................................... 14 ................................................... 15 ................................................... 15 ................................................... 15 ................................................... 16 ................................................... 16 ................................................... 17 ................................................... 17 ................................................... 18 ................................................... 18 ................................................... 18 ................................................... 18 ................................................... 19 ................................................... 19 ................................................... 19 ................................................... 20 ................................................... 20 ................................................... 20
4
行业深度分析报告/证券研究报告

31. 光刻机的结构 ..................................................................................................................................... 21 32. 高压汞灯的光谱分布 ......................................................................................................................... 22 33. 高压汞灯的结构 ................................................................................................................................. 22 34. 单腔 DUV 激光产生的原理 .............................................................................................................. 22 35. ArF 光源系统(DUV ..................................................................................................................... 22 36. GIGAPHOTON ArF 光源内部结构 ............................................................................................ 23 37. 光源内置的测量模块 ......................................................................................................................... 24 38. EUV 设备庞大的前驱激光装置 ........................................................................................................ 24 39. 光刻机中的 EUV 光产生装置 .......................................................................................................... 24 40. EUV 光源工作原理图 ........................................................................................................................ 25 41. 光刻机照明与投影物镜系统的工作流程图 ..................................................................................... 26 42. 光刻机照明与投影物镜系统结构 ..................................................................................................... 26 43. 光刻设备采用不同的照明方式 ......................................................................................................... 27 44. 像素化照明方式的成像效果 ............................................................................................................. 27 45. 光刻计算优化的掩膜版的成像效果 ................................................................................................. 28 46. DUV 光刻机的照明系统 .................................................................................................................... 28 47. DUV 光刻机的物镜系统 .................................................................................................................... 28 48. 光刻中的波前畸变 ............................................................................................................................. 29 49. 通过镜片形变补偿像差原理图 ......................................................................................................... 29 50. 通过局部加热补偿像差原理图 ......................................................................................................... 29 51. EUV 反射镜片 .................................................................................................................................... 30 52. EUV 光刻机的照明与物镜系统 ........................................................................................................ 30 53. 工件台工作流程图 ............................................................................................................................. 30 54. ASML 的双工件台 ............................................................................................................................. 30 55. ASML 新型机台产能不断提高 ......................................................................................................... 31 56. 掩膜与晶圆上的对准图形 ................................................................................................................. 31 57. 配合工件台的对准传感器 ................................................................................................................. 32 58. 掩膜与工件台及晶圆的对准原理图 ................................................................................................. 32 59. 晶圆表面的 3D 形貌图 ...................................................................................................................... 32 60. 全球半导体资本开支(十亿美元) ................................................................................................. 33 61. 光刻设备占比最高 ............................................................................................................................. 33 62. 全球光刻机市场规模(亿美元) ..................................................................................................... 33 63. 全球显影涂胶设备市场规模(亿美元) ......................................................................................... 33 谨请参阅尾页重要声明及财通证券股票和行业评级标准 5

行业深度分析报告/证券研究报告

64. ASML 公司 EUV 与非 EUV 设备收入占比 ..................................................................................... 34 65. ASML 公司各类光刻机出货量变化(台) ..................................................................................... 34 66. 不同工艺制程的光刻机开支占比 ..................................................................................................... 34 67. 光刻机市场的竞争格局 ..................................................................................................................... 35 68. 光刻机 TOP3 出货量变动情况 ........................................................................................................ 35 69. 2021 TOP3 光刻机出货量(单位:台) ..................................................................................... 35 70. ASML 全球生产研发布局图 ............................................................................................................. 36 71. ASML 历年营收规模(单位:百万欧元) ..................................................................................... 36 72. ASML 发展历史 ................................................................................................................................. 37 73. ASML 生态圈 ..................................................................................................................................... 39 74. 中国光刻机发展历程 ......................................................................................................................... 40 75. 上海微电子发展历程 ......................................................................................................................... 40 76. 600 系列光刻机集成电路前道制造 ................................................................................................. 41 77. 500 系列光刻机 —— IC 后道先进封装 .......................................................................................... 41 78. 300 系列光刻机集成电路前道制造 ................................................................................................. 42 79. 200 系列面板光刻机 ........................................................................................................................... 42 80. 中国光刻机相关技术 ......................................................................................................................... 43 81. 苏大维格产品与技术布局 ................................................................................................................. 44 82. 苏大维格的各类光刻设备 ................................................................................................................. 44 83. 苏大维格的光学产品 ......................................................................................................................... 45 84. 苏大维格各类产品营收情况(单位:亿元) ................................................................................. 45 85. AR-HUD .............................................................................................................................................. 46 86. 苏大维格光波导镜片 ......................................................................................................................... 46 87. 光伏铜电镀技术以铜代银 ................................................................................................................. 46 88. 芯源微显影涂胶(喷胶)设备 ......................................................................................................... 48 89. 精测电子可用于光刻工艺检测测量的产品 ..................................................................................... 49 90. ArF 工艺涂胶显影 Track 设备 ULTRA LITH 产品图........................................................................ 49 91. 美埃科技产品结构 ............................................................................................................................. 50 92. 上海微电子的高洁净度环境 ............................................................................................................. 50 93. 福晶科技发展历程 ............................................................................................................................. 51 94. 福晶科技产品 ..................................................................................................................................... 51 95. 炬光科技发展历程 ............................................................................................................................. 52

谨请参阅尾页重要声明及财通证券股票和行业评级标准 6

行业深度分析报告/证券研究报告

图1.光刻产业链格局图

谨请参阅尾页重要声明及财通证券股票和行业评级标准7
行业深度分析报告/证券研究报告

数据来源:各公司及机构官网,财通证券研究所
谨请参阅尾页重要声明及财通证券股票和行业评级标准
8
行业深度分析报告/证券研究报告

1光刻设备:半导体制造的核心装备
1.1光刻:决定芯片性能最关键工艺

自 1958 年第一块集成电路诞生以来,其工艺技术持续高速发展。随着集成电路工 艺制程的不断升级,晶体管集成度不断提高;观察到这一行业发展态势,英特尔 创始人之一的戈登.摩尔(Gordon Moore)提出:当价格不变时,芯片容纳的晶

体管数大约每 18 个月到 24 个月翻倍,这就是著名的摩尔定律。芯片集成密度与 可靠性的不断提升,推动了从大型机到个人电脑,再到移动终端、物联网、人工 智能的电子工业的革命。

图2.芯片性能的发展整体遵循摩尔定律

数据来源:ASML 官网,财通证券研究所
自 1960 年代以来,芯片性能的发展整体遵循摩 而然的,而是蕴含着集成电路设计、芯片生产、的研发积累与不断改进。改进分为两大类:工艺 寸来制造器件和电路,并使之具有更高的密度、性;器件结构设计上的创新使电路的性能更好,靠性。无论是缩小尺寸还是构造创新,均需要以 作为芯片制造的工业母机,光刻机等设备历经了
谨请参阅尾页重要声明及财通证券股票和行业评级标准
尔定律。但高速持续发展并非自然 电子材料、半导体设备行业长期 和结构。工艺的改进以更小的尺 更多的元器件数量和更高的可靠 实现更佳的能耗控制和更高的可 光刻机为核心的半导体设备支持 数次重大升级革新。
9
行业深度分析报告/证券研究报告

图3.光刻的基本原理

数据来源:EEweb,财通证券研究所
光刻、刻蚀、薄膜沉积,同为集成电路制造的三 热处理、离子注入、化学机械抛光、量测等。
光刻是将设计好的图形从掩模版或倍缩掩模版 用的技术。光刻技术最先应用于印刷工业,并长 业在 1950 年代开始采用光刻技术制造晶体管和 刻蚀、沉积、离子注入将描绘在光刻胶上的图 光刻胶图案是最基础的电路图案。描绘在晶圆上 此光刻是集成电路生产中最重要的技术。
数据来源:ASML 官网,财通证券研究所
谨请参阅尾页重要声明及财通证券股票和行业评级标准
大工艺;其他的步骤则包括清洗、
,转印到晶圆表面的光刻胶上所使 期用于制造印刷电路板。半导体产 集成电路。集成电路制造都是利用 形转移到晶圆表面,故晶圆表面的 的最基本电路结构由光刻产生,
10
图4.芯片生产的工艺步骤
行业深度分析报告/证券研究报告

完整的光刻工艺包括多个细分步骤:1.气相成底膜和增粘:对原始硅片清洗、脱 水,并涂抹增粘剂。2.旋转涂胶:对晶圆表面做光刻胶涂覆,实现指定的厚度和 均匀性,并把边缘和背面多余的光刻胶清洗掉。3.软烘:去除光刻胶中的溶剂。4.对准和曝光:将掩膜版和晶圆精确对准后进行曝光。5.曝光后烘焙:通过一定 温度激发曝光产生的酸,使部分光刻胶溶于显影液并提高显影的分辨率。6.显影:喷涂显影液,溶解光刻胶上被光照射过的区域,形成电路图形。7.坚膜烘焙:热 烘进一步去除残留的光刻胶溶剂,并提高光刻胶的粘性。8.显影检查:检测显影 后的电路图案,如果不符合要求需重新进行光刻步骤。

现代集成电路一般由多层结构组成,在芯片的生产中,需多次重复光刻、刻蚀、沉积等步骤,层层成形并最终形成完整的集成电路结构。

图5.ASML 光刻机的全球供应链

数据来源:ASML 官网,财通证券研究所
光刻机是光刻步骤的核心设备,也是技术难度 ASML 公司的光刻机供应链包括全球各地 5000 家 材料学、流体力学、化学等领域最尖端的研究 动化机械、高性能仿真软件、高灵敏度传感器、
光刻技术是集成电路制造的核心。从原始的硅 为止, 即使最简单的 MOS IC 芯片都需要 5 道 能需要 30 道光刻工艺步骤。集成电路制造非常 工作, 都需要 6 ~ 8 周时间完成芯片,光刻工艺 40% ~50%
谨请参阅尾页重要声明及财通证券股票和行业评级标准
和单价最高的半导体设备。荷兰 供应商,应用到了光学、电磁学、成果。同时,光刻机集成了精密自 图像识别算法等多个子模块,
片起到键合垫片的刻蚀和去光刻胶 光刻工艺, 先进的集成电路芯片可 耗时, 即使一天 24 小时无间断地 技术就耗费了整个晶圆制造时间的
11
行业深度分析报告/证券研究报告

图6.多类设备与光刻密切相关

数据来源:ASML 官网,TEL 官网,中科院微电子所,iopscience,财通证券研究所

此外在光刻工艺中,涂胶显影设备、量测设备、光刻计算软件系统与光刻机配套 运行。

涂胶显影设备具备增粘处理、光刻胶(也包括抗反射层和抗水涂层)涂布、烘烤、显影液喷涂、晶圆背面清洗和去边、浸没式光刻工艺中晶圆表面去离子水冲洗(水 渍消除)等功能。涂胶显影设备的工作性能和工艺质量,直接影响到光刻的良率。

图7.光刻配套的量测设备/部件

数据来源:ASML 官网,财通证券研究所

图8.光刻计算系统

数据来源:ASML 官网,财通证券研究所

量测设备对光刻后电路图形的套刻误差(若干次光刻之间)、关键尺寸进行测量,并扫描识别图案缺陷,监控工艺质量并,将信息反馈给光刻计算系统以改善工艺。

光刻计算系统是光刻步骤的神经控制中枢:它能够依据给定的部分参数,对光刻 的工艺流程、材料、环境进行高精度仿真,预测光刻的结果,节省大量试错的成

谨请参阅尾页重要声明及财通证券股票和行业评级标准 12

行业深度分析报告/证券研究报告

本。同时,光刻计算系统也会根据量测设备反馈的测量参数,调整光刻设备的光 照、聚焦、掩膜系统的各项设置参数。

图9.光刻机描绘电路的图纸:掩模版

数据来源:三星官网,财通证券研究所

图10.光刻机绘图的底板:涂布中的光刻胶

数据来源:Applied-microswiss 官网,财通证券研究所

除了各类设备之外,光刻工艺中所使用到的光刻胶、掩膜版、电子特气等也具有 较高的技术壁垒。

光刻胶(Photoresist)是指通过紫外光、电子束、离子束、X 射线等照射,其溶解 度发生变化的耐蚀剂刻薄膜材料。由感光树脂、增感剂和溶剂 3 种主要成分组成 的对光敏感的混合液体。曝光后的光刻胶经过显影液处理后,会留下所需要的电 路图案。

光刻掩膜版(光罩 Mask Reticle),是光刻工艺所使用的图形母版。由不透明的 遮光薄膜在透明基板上形成掩膜图形结构,通过曝光过程将掩膜版上图形信息转 移到光刻胶图形上。

光刻用电子特气主要包括 Ar/Ne/Xe、Kr/Ne、F2/Kr/Ne、F2/Ar/Ne。光刻气中的惰 性气体和卤素气体在受到电子束激发后所形成的准分子发生电子跃迁后可产生特 定波长的光,即可产生准分子激光。

1.2光刻图谱:多种路线并存,扫描式光刻为主流

半导体生产中,光刻技术的发展经历了多个阶段。接触/接近式光刻、光学投影光 刻、分步(重复)投影光刻出现时间较早。集成电路生产主要采用扫描式光刻、浸没式扫描光刻、极紫外光刻的工艺。此外,X 射线/电子束光刻、纳米压印、激 光直写技术可能是未来的技术突破方向。

谨请参阅尾页重要声明及财通证券股票和行业评级标准 13

行业深度分析报告/证券研究报告

图11.光刻技术的发展图谱

数据来源:《集成电路产业全书》,王阳元,财通证券研究所

1.2.1 接触/接近式光刻机(Aligner):光刻设备鼻祖

1961 年美国 GCA 公司制造出了第一台接触式光刻机,掩模盖与光刻胶图层直接 接触,光线透过掩膜进行曝光时可以避免衍射。接触式光刻机的工作方式,对光

刻胶和掩模版都存在损坏和污染,生产良率低,掩模版寿命短。为解决上述问题,

产生了接近式光刻机,掩膜和表面光刻胶之间存在微小空隙。这些新设计提高了 良率和使用寿命,但是光在微小间隙中的衍射现象,使得最高分辨率只有 3 微米 左右。这一时期的光刻机厂商有 Siemens、GCA、Kasper Instruments 和 Kulick&Soffa 等,典型的芯片产品有英特尔 4004/3101。接近/接触式光刻厂家,目前还有 德国苏斯和奥地利 EVG,其设备主要服务于 MEMS、先进封装、三维封装、化合 物半导体、功率器件、太阳能领域。

图12.接触/接近式光刻机

数据来源:《集成电路与光刻机》,王向朝, 戴凤钊,财通证券研究所

图13.德国苏斯公司的光刻机

数据来源:苏斯公司官网,财通证券研究所

谨请参阅尾页重要声明及财通证券股票和行业评级标准 14

行业深度分析报告/证券研究报告

1.2.2 扫描投影/重复步进光刻机(Stepper):仍满足大线宽工艺

Perkin Elmer 在 1973 年推出了 Micralign100,世界首台投影式光刻机,采用汞灯

光源,孔径数值 0.17,分辨率 2 微米。工作过程中,扫描台承载硅片与掩膜版同

步移动,汞灯发出的光线经过狭缝后成为均匀的照明光,透过掩膜将图案投影在

光刻胶上。其对称的光路设计可以消除球面镜产生的大部分像差, Micralign 让芯

片生产的良率,从 10%提升到了 70%。

图14.初代投影光刻机的工作原理

图15.三种投影光刻机的成像方式

数据来源:《集成电路与光刻机》,王向朝, 戴凤钊;《Lithography and Other
Patterning Techniques for Future Electronics, Stephen Y Chou,财通证券研究 数据来源:《集成电路与光刻机》,王向朝, 戴凤钊,财通证券研究所

为了满足更高的进度要求,1978 年,美国 GCA 公司推出了首台步进重复投影光刻 机。其工作原理如上右图中第二小图所示,硅片表面存在若干个曝光场(22mm x 22mm),分步重复投影光刻机每次整体曝光一个场。

步进重复光刻机不需要实现掩模和圆片同步反向扫描,在结构上不需要扫描掩模

台和同步扫描控制系统,因而结构相对简单,成本相对较低,性能更加稳定。同

时,由于其采用缩小倍率的物镜(4:1 或 5:1 或 10:1),降低了掩膜版的制作难度,能够满足 0.25 微米以上线宽制程的工艺要求。目前,步进重复光刻机仍然广泛应 用在非关键层、封装等领域,采用 g 线或 i 线光源,少数高端设备采用 KrF 光源。

图16.上海微电子步进重复光刻机

数据来源:上海微电子官网,财通证券研究所

谨请参阅尾页重要声明及财通证券股票和行业评级标准 15

行业深度分析报告/证券研究报告

上海微电子装备公司于 2009 年开发 SSB500 系列步进重复光刻机,2015 年在封装 领域市占率已达 40%。

1.2.3 步进扫描光刻机(Scanner):主流光刻设备通用

集成电路工艺制程达到 0.25 微米后,步进扫描式光刻机的扫描曝光视场尺寸与曝 光均匀性更具优势,逐步成为主流光刻设备。其利用 26mm x 8mm 的狭缝,采用 动态扫描的方式(掩膜版与晶圆片同步运动),已经可以实现 26mm x 33mm 的曝

光场。当前曝光场扫描完毕后,转移至下一曝光场,直至整个晶圆片曝光完毕。

图17.步进扫描式光刻双工件台

数据来源:华卓精科招股说明书,财通证券研究所

图18.步进扫描光刻机运动原理

数据来源:《集成电路产业全书》,王阳元,财通证券研究所

通过配置不同类型的光源(I 线、KrF、ArF,EUV),步进扫描光刻机可以支持所 有集成电路工艺节点;但为满足高端工艺节点的性能要求,每一代步进扫描光刻 机都历经了重大技术升级。例如:步进扫描式光刻机 26mm x 8mm 的静态曝光场 相对较小,降低了物镜系统制造的难度;但其工件台与掩膜台反向运动的动态扫 描方式,提升了对运动系统的性能要求。对此,荷兰 ASML 公司于 2001 年首次推 出了双工件台,满足先进工艺的的速度、精度、稳定性要求。

1.3各项革新推向光刻性能巅峰

自 1990 年美国 SVGL 公司推出 Micrascan I 步进扫描光刻机以来,全球主流光刻 机厂商均采用步进扫描光刻原理。这其中,DUV 步进扫描光刻机包揽 7 纳米及之 前的全部工艺制程。在 1990 到之后的这近 30 年时间里,集成电路制造工艺水平 已经发生翻天覆地的变化。而为了满足先进制程的各项要求,光刻机除了之前提

到的双工件台外,还采用了多项其他重大革新。

谨请参阅尾页重要声明及财通证券股票和行业评级标准 16

行业深度分析报告/证券研究报告

图19.7 纳米(含)前制程均用 DUV 光刻机完成

数据来源:ASML,财通证券研究所
更高端的工艺制程的集成电路,具有更小的线
光分辨率。此时就需提到决定光刻分辨率的公式
因子常数,与照明方式、掩膜类型、光刻胶显影
Na 为物镜的孔径数值。光刻机不断提高物镜的
来提高分辨率水平。
数据来源:ASML,财通证券研究所
谨请参阅尾页重要声明及财通证券股票和行业评级标准
宽,这就需要光刻机具有更高的曝 R=K1・λ/Na。其中,K1 为工艺 性能等参数相关; λ为光源波长;孔径数值,并采用波长更短的光源
17
图20.ASML 光刻机性能参数不断进步
行业深度分析报告/证券研究报告

SVGL 公司于 1993 年推出的 Micrascan II 型光刻机,采用 250nm 汞灯光源,分辨 率为 350nm,孔径数值为 1.35。1995 年,日本尼康推出全球首台采用 248nm 的 KrF 光源的光刻机,分辨率达到 250nm;并于 1999 年推出首台采用 193nm 的干式 ArF 光源的光刻机 NSR-S302A,分辨率小于 180 纳米。在此之后,光源波长一直停滞 在 193nm 水平,提升分辨率主要依赖改良物镜,提升孔径数值。

针对如何进一步提升分辨率的问题上,各厂家产生技术争议。日本企业计划采用

157nm 的 F2 光源;荷兰 ASML 决定采用台积电研发副总监林本坚提出的,在物 镜镜头和晶圆之间增加去离子水增大折射率的设想。ASML 于 2004 年推出首台浸 没式光刻机(ArFi)TWINSCAN AT 1150i,获得客户迅速认可,市场份额得以快

速攀升。

图21.光刻机的浸没式系统

图22.ASML 市场份额快速攀升

数据来源:IEEE,财通证券研究所 数据来源:ASML,财通证券研究所

采用浸没式系统的光刻机,其入射到晶圆表面的光线等效为 134nm 的波长,叠加 物镜的不断改进(孔径数值 NA 最高可达 1.35),整机的半周期分辨率(half-pitch)提升到了小于 38 纳米的级别,可满足 28 纳米工艺需求。但当制程等级达到 22 纳

米级别时,光刻机的分辨率也已力不从心,各大晶圆厂分分引入了多重膜版工艺。

图23.双重光刻工艺(LELE)

数据来源:Lam research, 财通证券研究所

图24.利用侧墙实现的自对准双重图形(SADP)

数据来源:Lam research,财通证券研究所

多重掩膜版工艺有多个细分类,其中双重曝光(DE)在 28 纳米节点首先启用,用 于改善图形质量。此外,曝光-固化-曝光-刻蚀(LFLE)、双重光刻(LELE)、三重 光刻(LELELE),自对准多重图形(SAMP)技术陆续在 14/16nm-7nm 工艺节点

发挥了重要作用。多重掩膜版工艺的发展,对光刻设备提出了更高的要求。

谨请参阅尾页重要声明及财通证券股票和行业评级标准 18

行业深度分析报告/证券研究报告

图25.套刻误差对图案的影响

数据来源:《集成电路产业全书》,王阳元,财通证券研究所

首先,为保证两次光刻之间的精准对齐(否则会产生电路错位或高度均匀性偏差),光刻机需要严格控制套刻误差;为此光刻机升级采用更精确的对准系统和运动系 统,也配备了更高等级的套刻误差测量设备。

其次因为采用双重光刻(LELE)等使每次曝光的图案间距增大一倍,但是对图案 本身线宽的要求并没有降低。对此,光刻机需要更好的图案质量和稳定性,更小 的光学畸变。

图26.极紫外光刻机的应用

图27.EUV 光刻极大简化工艺步骤

数据来源:ASML, 财通证券研究所 数据来源:ASML,财通证券研究所

针对 5 纳米及以下的制程节点,分辨率更高极紫外光刻机(EUV)成为必需设备。因为当工艺节点达到 7 纳米等级后,自对准四重图形(SAQP)等成为光刻工艺的 主流方案,也产生了相关技术难题。首先,自对准四重图形和三重光刻包含大量

配套的刻蚀、薄膜沉积、去胶和膜层剥离等步骤,工艺复杂程度急剧提升,保持 良率难度大。其次多重曝光所采用的 193nm 光源本身的分辨率极限,其成像能力 不满足 5 纳米或更高等级制程需求。EUV 光刻机也可降低 10-7 纳米等级芯片生产 的复杂程度。

谨请参阅尾页重要声明及财通证券股票和行业评级标准 19

行业深度分析报告/证券研究报告

图28.EUV 光刻机

数据来源:ASML,财通证券研究所

与 DUV 使用的准分子激光光源不同,EUV 光刻采用 13.5nm 波长的离子体光源。这种光源是通过二氧化碳激光器轰击雾化的锡(Sn)金属液滴,将它们蒸发成等

离子体,通过高价锡离子能级间的跃迁获得的。

由于 EUV 光线波长短很容易被空气吸收,所以工作环境需要被抽成真空,也无法 被玻璃透镜折射。硅与钼镀膜的布拉格反射器(Bragg reflector,一种多层镜面,

可以将很多小的反射集中成一个更强的反射)取代了原有的物镜。德国光学公司

蔡司(Zeiss)生产世界上最平坦的镜面,使得 EUV 光线经过多次反射后能够精准 的投射到晶圆上。目前 ASML 最先进的 EUV 设备为 NXE 3600D,分辨率达到 13 纳米,适用于 3-5 纳米芯片制程,未来计划通过进一步提升孔径数值来提高分辨

率水平。

1.4电子束、纳米压印:潜在的另辟蹊径

图29.电子束光刻

数据来源:Matteo Altissimo,财通证券研究所

图30.紫外固化纳米压印技术

数据来源:吉仓纳米,财通证券研究所

电子束/激光直写技术使用带电粒子/激光直接轰击对象表面,在目标基片上一次形

谨请参阅尾页重要声明及财通证券股票和行业评级标准 20

行业深度分析报告/证券研究报告

成纳米图案构造,无需制备价格昂贵的掩膜版,生产准备周期较短。这其中激光 直写光刻已经运用到了 PCB 制造中。电子束光刻具有极高的分辨率(10 纳米等 级)和曝光精度,有望成为 EUV 光刻之外的另一种选择。目前电子束光刻的技术 局限是工作效率较低,无法运用在大规模集成电路生产中;后续的多电子束光刻 有望在未来解决这一问题。

纳米压印采用电子束等技术将电路图案刻制在掩膜上,然后通过掩膜使得对象上 的聚合物变形,再采用某种方式使得聚合物固化,进而完成图案的转移。纳米压 印具备分辨率高,成本低的特点;但其同时存在刻套误差大,缺陷率高,掩膜版 易被污染的技术问题。

2光刻机:多种先进系统的精准组合
2.1光刻机的整体结构

图31.光刻机的结构

数据来源:ASML,财通证券研究所
光刻机是最复杂的工业产品之一,其本体由照 对准与测量、掩模传输、晶圆传输等主要系统组 光刻计算(OPC)与掩膜优化(SMO)软件、指标有分辨率、套刻精度和产率。
随着集成电路的发展,光刻机各个系统不断优
相继被采用,采用全反射式光学系统的极紫外
断提升的性能指标要求,光刻机的各个组成系
等领域的技术瓶颈,实现了多项高精尖技术的融合。

谨请参阅尾页重要声明及财通证券股票和行业评级标准
明、投影物镜、工件台、掩模台、成。此外,还有环境与电气系统、显影涂胶设备提供支持。主要性能
化升级,双工件台技术与浸液技术 光刻机已经用于量产。为了满足不 统不断突破光学、精密机械、材料 融合。
21
行业深度分析报告/证券研究报告

2.2光源系统:光刻机的能量源泉

i 线(365nm 波长)及以上波长光刻机使用的光源是高压汞灯。高压汞灯能提供

254~579nm 波长的光。使用滤波器可以选择性的使用 i 线(365nm)、H 线(405nm)

或 G 线(436nm)为光刻机提供照明光源。

图32.高压汞灯的光谱分布

数据来源:《集成电路与光刻机》,王向朝, 戴凤钊,财通证券研究所

图33.高压汞灯的结构

数据来源:《集成电路与光刻机》,王向朝, 戴凤钊,财通证券研究所

KrF ArF/ArFi 光刻机使用准分子激光器作为光源,其工作的原理是:惰性气 体(Kr,Ar)在电场和高压环境下与卤族元素气体(F2,Cl2)反应生成不稳定的 准分子。激发态的准分子又不断分解,并释放深紫外(DUV)的光子。KrF 与 ArF 准分子分别释放 248nm、193nm 波长的光子。准分子激光是脉冲式的,其关键的

技术参数有脉冲的频率、输出功率、持续时间、稳定性等。

光源更高输出功率,意味着曝光时间缩短和光刻机产能提高。美国 Cymer 和日本 GIGAPHOTON 的最新型光源,输出功率已达到 120W,脉冲的频率是 6000Hz,脉冲持的续的时间在 100~150ns。

图34.单腔 DUV 激光产生的原理

图35.ArF 光源系统(DUV)

谨请参阅尾页重要声明及财通证券股票和行业评级标准 22

行业深度分析报告/证券研究报告

数据来源:中国电子科技集团第十三研究所,《Cymer 准分子激光器的工作原 理及应用》宋健等 4 人,财通证券研究所数据来源:GIGAPHOTON 官网,财通证券研究所

降低光源系统的能耗和激光腔更换成本,也是降低光刻成本的主要方式。DUV 光 源主要通过三种方法来降低功耗、延长激光腔使用寿命。

第一是改善腔体内部件的绝缘度。气体在腔体内电极之间的流动是由风扇(CFF)驱动的,通过改善腔体内部件的绝缘度可以降低功耗 19%。

第二个是增强气体的预电离(pre-ionization)。电极之间的间距大约有 10mm 左右,如果不对气体作预电离,很难在电极之间形成稳定的放电,也会增加电极的损耗。

第三个是电极表面特殊处理。电极的损耗限制了激光腔使用寿命,损耗程度与产 生的激光脉冲次数(laser pulse)成正比。在放电时,气体中的 F 会不断腐蚀金属 制成的电极。经过特殊表面处理后的电极的抗腐蚀和抗离子溅射能力大大提高,可以使激光腔的使用寿命增大到 600 亿次脉冲以上。

图36.GIGAPHOTON 的 ArF 光源内部结构

数据来源:GIGAPHOTON 官网,财通证券研究所
随着光刻技术对光源输出功率和频宽要求的不 满足高功率和精准频宽同时输出。双腔结构的主 想是利用主振荡腔产生小能量的窄频宽种子光 而得到窄频宽、大功率的优质激光输出。
谨请参阅尾页重要声明及财通证券股票和行业评级标准
断提高,单激光腔结构的光源不能 振荡-放大技术被引入,其基本思,注入放大腔输出大能量脉冲,从
23
行业深度分析报告/证券研究报告

图37.光源内置的测量模块

数据来源:中国电子科技集团第十三研究所,《Cymer 准分子激光器的工作原理及应用》宋健等 4 人,财通证券研 究所

激光光源在工作时,其内置的测量模块会测量各项运行参数,记录在系统中并传 输到光刻机和晶圆厂内部的数据系统中。这些状态参数包括:输出能量、波长、频宽、束斑的形状、束斑的位置和发散度等。有些数据有助于工艺工程师监测光 刻工艺的稳定性,并及时发现各类异常。

图38.EUV 设备庞大的前驱激光装置

数据来源:GIGAPHOTON 官网,财通证券研究所

图39.光刻机中的 EUV 光产生装置

数据来源:ASML 官网,财通证券研究所

谨请参阅尾页重要声明及财通证券股票和行业评级标准 24

行业深度分析报告/证券研究报告

EUV 光源是目前最先进的光源。EUV 光刻机采用的是 CO2 激发的 LPP 光源,主 要由主脉冲激光器、预脉冲激光器、光束传输系统、锡液滴靶、锡回收器、收集 镜等构成。

EUV 光源的主要工作方式为:在真空腔体中,将高温熔融并加电磁场使其处于等 离子体状态的锡从喷枪中等间隔喷出,每个锡滴的大小保持在 7.5-13 微米左右。当锡滴经过中心区域时,安装在腔壁上的高分辨率相机捕捉到锡滴,反馈给计算 机。计算机综合定位控制、激光光束轴、定时控制器等系统的数据,控制激光枪 连续发射两个脉冲击中该锡滴体。

第一个激光脉冲可使锡滴压扁为饼状,第二个脉冲紧随其后再次击中该锡滴,两 次高能激光脉冲可将该锡滴瞬间加热至 50000K,从而使锡原子跃升至高能态,并 回归至基态释放出 13.5nm 的紫外光,经收集镜导入到曝光系统当中。

图40.EUV 光源工作原理图

数据来源:ASML 官网,财通证券研究所
谨请参阅尾页重要声明及财通证券股票和行业评级标准
25
行业深度分析报告/证券研究报告

超导磁场系统位于 EUV 腔外部,并能在 EUV 腔内产生高强度的磁场,从而保护 收集器镜面不受锡等离子体产生的高速锡离子的影响。EUV 光源的输出功率是重 要性能指标。目前最先进的 NXE 3400C 型光刻机,输出功率已达到 250w,未来有 可能升级到 300w。下一代 High-NA 光刻机计划将功率提升到 500w。

2.3照明与物镜投影系统:精准成像

图41.光刻机照明与投影物镜系统的工作流程图

数据来源:ASML 官网,财通证券研究所
照明与投影物镜系统的精确性与稳定性,对于 上,起到决定性的作用,是光刻机的核心组件 镜系统,都内置有光学调整功能组件,能够依据 采取最佳的曝光优化方案。光刻机整体通过照 计算的互相配合,实现最佳光刻方案。
数据来源:武汉光电国家研究中心资料, 财通证券研究所
谨请参阅尾页重要声明及财通证券股票和行业评级标准
将掩膜版上的图案准确转移到晶圆。现今主流光刻机的照明与投影物 掩膜版的图案结合光刻优化算法,明系统、掩膜版、投影物镜、光刻
26
图42.光刻机照明与投影物镜系统结构
行业深度分析报告/证券研究报告

照明系统位于光源和掩模台之间,其功能是调节照明光场的空间和角谱分布,为 掩膜版提供曝光最合适的照明光场(不同掩膜版图案适用不同的照明光场)。主要 功能包括:均匀照明、变化不同的照明方式、控制晶圆的曝光剂量。

晶圆表面一格点的曝光剂量是照明光场在扫描方向上的能量积分(累计值),其分 布直接影响分辨率均匀性,所以照明均匀性成为关键性能指标之一。

照明系统的能量监测单元,可测量准分子激光器发出的单个脉冲能量,并调整激 光器的单脉冲能量,使累积的能量达到预定的曝光剂量。可变透过率单元,根据 曝光剂量及均匀性的要求改变光的透过率,调整照明光的光强。

图43.光刻设备采用不同的照明方式

数据来源:ASML,财通证券研究所

图44.像素化照明方式的成像效果

数据来源:中国科学院,财通证券研究所

早期光刻机使用衍射光学元件(DOE)来调节照明方式(光瞳形状)。激光光源发 出的光,通过准直系统变成平行光后,投射到衍射光学元件上,再被折射到指定 位置,从而形成特定的照明方式。2010 年左右生产了光源掩模协同优化(SMO)技术,可对照明光场像素化编程,能快速生成任意照明模式。

SMO 系统的核心是一个可编程微反射镜阵列,微反射镜阵列中有数千个微反射镜,每个微反射镜都可以在照明系统光瞳面上产生一个光点。SMO 系统可控制各个微 反射镜的偏转角度,调节每个微反射镜的指向,从而得到目标光源。掩膜版图形 也会根据 SMO、光学邻近效应修正(OPC)等光刻计算软件的模拟仿真结果进行 调整。

谨请参阅尾页重要声明及财通证券股票和行业评级标准 27

行业深度分析报告/证券研究报告

图45.光刻计算优化的掩膜版的成像效果

数据来源:《OPC)-friendly maze routing》,Li-Da Huang, Martin D. F. Wong,财通证券研究所

光学邻近效应修正(OPC)系统通常与 SMO 系统组合运行。从 180 纳米制程节 点开始,集成电路中的最小线宽已经小于光源波长。曝光时相邻图形光线的干涉 和衍射效应会导致图像畸变,使得晶圆上的图形和掩模上的图形差别较大,(线条 宽度会变窄、窄线条端点会收缩、图形拐角处变圆滑)。OPC 系统依据光照条件和 电路图案,对掩模上的图形做适当修改可以补偿这种效应。

图46.DUV 光刻机的照明系统

图47.DUV 光刻机的物镜系统

数据来源:ZEISS, 财通证券研究所 数据来源:ZEISS,财通证券研究所

位于掩膜版和晶圆之间的投影物镜系统,也可以通过计算光刻系统与 SMOOPC 技术相结合,实现照明、掩膜、投影物镜的协同优化,提高光刻机的成像质量。

投影物镜将掩膜版图形,按照一定的缩放比例(通常是 4:1)投射到硅片面。由于 掩模图形的线宽是硅片上的 4 倍,降低了掩模制造难度、减小了掩模缺陷对光刻 的影响。但由于光源的波长不断减小,导致投影物镜的可用材料种类越来越少。大部分光学材料在深紫外(DUV)波段透过率都很低,可用材料只有熔融石英与 氟化钙,世界上只有少数几家材料供应商能够提供。

谨请参阅尾页重要声明及财通证券股票和行业评级标准 28

行业深度分析报告/证券研究报告

图48.光刻中的波前畸变

数据来源:《超大规模集成电路先进光刻理论与应用》, 韦亚一,《Detecting abnormal behavior in lithography Machines》,B.Dassen,财通证券研究所

即使是采用最高等级材料制作的透镜,也不可避免地存在像差。物镜镜片长时间

曝光后的热效应、镜片的老化变形、光学元件缺陷、及透镜技术的自身光学局限

都会导致像差。其中,对像差形成影响最大的光线经过透镜后的波前畸变,波前 畸变可用泽尼克多项式描述。光刻机光学系统的设计,需要考虑 64 阶的尼克多项 式系数影响。

先进集成电路光刻工艺对像差的要求非常严格。高端光刻机(浸没式/EUV)的像 差与畸变已经降低到 1 纳米以下水平。为有效控制图像畸变,光刻机的投影物镜 系统会在工作过程中,实时调整自身的光学元件。

图49.通过镜片形变补偿像差原理图

数据来源:Spie Digital Library, Yasuhiro Ohmura, 16 人,财通证券研究所

图50.通过局部加热补偿像差原理图

数据来源:ASML,财通证券研究所

谨请参阅尾页重要声明及财通证券股票和行业评级标准 29

行业深度分析报告/证券研究报告

投影物镜系统的光学元件调整机制,与 OPCSMO 等光刻计算系统协同运作。主要的运作方式为:在光瞳附近增加可局部加热的光学元件,通过控制该元件局 部温度的变化改变材料折射率,实现高阶波像差的补偿;或是在投影物镜光路中 增加变形镜,通过控制变形镜的形变改变光程,实现高阶波像差的补偿。

图51.EUV 反射镜片

图52.EUV 光刻机的照明与物镜系统

数据来源:ZEISS,ASML,财通证券研究所 数据来源:ASML,财通证券研究所

EUV 光源发出的波长为 13.5 纳米的极紫外光,被几乎所有光学材料强吸收,故 EUV 光刻机的照明系统的投影物镜系统只能采用全反射式结构。EUV 的反射镜 对加工精度的要求极高,其表面镀有钼/硅多层膜及一层 2-3nm 的钌保护膜。钌膜 可以有效延缓钼/硅的氧化,降低碳在表面沉积的速率。

2.4工件台系统:光刻产能与精确对准的关键

双工件台系统于 2000 年被荷兰 ASML 公司发明推出,被称为 TWINSCAN 系统。在双工件台系统中,两个工件台相对独立但同时运作;一个工件台承载晶圆做曝 光时,另一个工件台对晶圆做对准测量等准备工作。当第一个工件台的曝光步骤 完成后,两个工件台交换位置和功能。

图53.工件台工作流程图

数据来源:《集成电路与光刻机》,王向朝, 戴凤钊,财通证券研究所

图54.ASML 的双工件台

数据来源:ASML,财通证券研究所

谨请参阅尾页重要声明及财通证券股票和行业评级标准 30

行业深度分析报告/证券研究报告

双工件台的工作过程中,晶圆在测量工件台上完成晶圆片装载、三维形貌测量后,两个工件台通过位置交换进入曝光位置,再与掩模对准后,完成扫描曝光。

老式的光刻机中只有一个工件台,晶圆的上下片、测量、对准、曝光依次进行;而在双工件台光刻机中,大部分测量、校正工作可以在非曝光工件台上进行,曝 光位置的利用效率大幅提高。双工件台的发明使得光刻机的产能有了大幅度的提 高。传统的单工件台光刻机很难实现其产能超过 100WPH,而基于双工件台的 ASML 浸没式光刻机的产能已经能超过 200WPH,部分新型光刻机产能已经接近 300WPH。

图55.ASML 新型机台产能不断提高

数据来源:ASML,财通证券研究所
双工件台设计有效提高了产能,也为光刻过程中 掩模台与工件台需高精度同步运动,否则会导 刻精度。此外,高端光刻机广泛运用在多重曝 台、掩膜版之间对准精度要求极高。
数据来源:《超大规模集成电路先进光刻理论与应用》, 韦亚一,财通证券研究所
晶圆和掩膜版上设计有特殊对准图形,两者位 对准才能捕捉到;这要求工件台与掩膜台具备 标记,ATHENA 对准系统,能依据对准标记确 谨请参阅尾页重要声明及财通证券股票和行业评级标准
的测量步骤预留出了更多的时间 致成像位置偏移,降低分辨率和套 光工艺中,这些工艺对晶圆、工件
财通证券研究所
于一定范围内,光刻机的光学系统 预对准功能。工件台和晶圆有对准 其位置;此外工件台上设置有 TIS 31
图56.掩膜与晶圆上的对准图形
行业深度分析报告/证券研究报告

传感器,TIS 对准系统将掩膜上的 TIS 标记投射到工件台 TIS 传感器上,进而计算 出掩膜图形与晶圆的相对位置。

TIS 与 ATHENA 对准系统主要依赖光学原理进行,更先进的对准系统采用更多波 段的光源,进一步提高对准精度。

图57.配合工件台的对准传感器

图58.掩膜与工件台及晶圆的对准原理图

数据来源:ASML, 财通证券研究所 数据来源:ASML,财通证券研究所

硅片曝光过程中,工件台需要反复进行步进、加速、扫描、减速等运动。实现高

产率要求工件台具有很高的步进速度、很高的加速度与扫描速度。目前高端 ArF 光刻机套刻精度已达到 1.4nm。为实现这些指标,工件台的定位精度已达到亚纳 米量级,速度达到 1m/s,加速度达到 30m/s 或更高。此外,工件台/掩模台在高速

工件台的这些指标,对超精密机械技术提出了很高的要求。

图59.晶圆表面的 3D 形貌图

数据来源:《超大规模集成电路先进光刻理论与应用》, 韦亚一,财通证券研究所

谨请参阅尾页重要声明及财通证券股票和行业评级标准 32

行业深度分析报告/证券研究报告

光刻机的物镜存在聚焦深度,聚焦深度外的光刻胶无法有效曝光。因此,对掩模 图形进行曝光时,整个晶圆表面必须处于焦深之内。然而晶圆表面并不是完全平 整的,尤其是经过多次刻蚀、沉积之后。因此曝光前,必须对晶圆面进行高精度 的调焦调平。首先通过调焦调平传感器,确定最佳的焦面距离和倾斜量,然后通 过工件台进行调节,使晶圆表面待曝光区域位于焦深范围之内。先进的 ArFi 光刻 机的焦深在 100nm 以下,因此双工件台需要具备纳米级别的调节能力。

3光刻设备市场规模大,国产亟待零的突破 3.1芯片制程升级,光刻设备成本占比不断提升

光刻机是半导体前道制造设备之首,最大的半导体设备细分类市场。光刻机是集 成电路制造的核心设备,全球半导体设备市场中光刻机占比超 24%。且随着半导 体制程升级晶体管尺寸缩小,图案转移难度加大,光刻机的重要性和开支占比有 望继续提升。

图60.全球半导体资本开支(十亿美元)
设备金额yoy50.0%
40.0%
30.0%
20.0%
10.0%
0.0%
-10.0%
200
150
100
50
0

图61.光刻设备占比最高
封装, 6%其他, 15.5%光刻机,
24%

测试, 9%

清洗,
5.5%
薄膜沉积, 20%蚀刻机
20%

数据来源:IC Insight,财通证券研究所 数据来源:SEMI 财通证券研究所

据观研天下估算 2021 年全球光刻机市场规模为 181 亿美元,预计 2022 年将达

到 201 亿美元。与光刻设备配套的显影涂胶设备 2021 年市场规模超 30 亿美元。

图62.全球光刻机市场规模(亿美元)

数据来源:观研天下,财通证券研究所

图63.全球显影涂胶设备市场规模(亿美元)

数据来源:观研天下,财通证券研究所

谨请参阅尾页重要声明及财通证券股票和行业评级标准 33

行业深度分析报告/证券研究报告

光刻机销售数量的高速增长,与光刻设备单价的提升,有力推动了全球光刻设备 市场的不断扩大。

2020 年起,疫情导致电子产品需求增加,新能源车渗透率提升等事件的影响,全 球半导体市场步入景气周期。据 IC Insights 统计,2020-2022 年全球半导体市场规 模预计将从 4926 亿美元增长到 6548 亿美元。在此期间,晶圆厂积极扩产,光刻 机交付周期不断延长。为保证设备交付,各晶圆厂纷纷提前下单订购设备,进一 步推升了光刻机的销量。

图64.ASML 公司 EUV 与非 EUV 设备收入占比

图65.ASML 公司各类光刻机出货量变化(台)

数据来源:ASML,财通证券研究所 数据来源:ASML,财通证券研究所

出货量不断增加的同时,光刻机单价也不断提升。

随着芯片制程不断升级,所需光刻机种类发生变化;逻辑制程从 5 纳米节点开始,必须使用 EUV 光刻机,光刻设备开支占比明显提升;DRAM 芯片从 1A 节点开始 逐步采用 EUV 光刻机;3D NAND 芯片由于多层叠堆技术的发明,仍使用较老式 的光刻机,光刻设备开支占比有所下降。整体上 ArFi 和 EUV 高端光刻机占比有 所提升;单台 EUV 光刻机售价超过 1 亿美元,推高了平均售价。

图66.不同工艺制程的光刻机开支占比

数据来源:ASML,财通证券研究所

谨请参阅尾页重要声明及财通证券股票和行业评级标准 34

行业深度分析报告/证券研究报告

3.2ASML 拥有近乎垄断市场地位

图67.光刻机市场的竞争格局

数据来源:ofweek,财通证券研究所

半导体前道光刻机市场被 ASML、Nikon、Canon 三家公司把持,市场集中度高,TOP3 市场占有率超过 90%。其中 ASML 由于其技术领先,垄断了单台价值量最 高 EUV 光刻机;ASML 也凭借自身在浸没式系统和双工件台的先发创新,占据了 ArF 和 KrF 领域的大部分市场。

日本 Nikon 在 ArF 领域有一定的技术积累,但其工件台等设计与行业主流不同,客户接受度较低,近两年光刻机销量持续下降。佳能已经完全退出高端光刻机市 场,出货量上升主要原因是 i-ine 光刻机出货量大幅增长。

图68.光刻机 TOP3 出货量变动情况

数据来源:华经产业研究院,财通证券研究所

图69.2021 年 TOP3 光刻机出货量(单位:台)

数据来源:Chipinsight,财通证券研究所

就出货机台数量而言,ASML 占 79.4%,Nikon 与 Canon 分别占据 10.4%和 10.2%的市场份额。日本 Canon 公司 2021 年光刻设备销售金额 2137 亿日元(19.6 亿美

谨请参阅尾页重要声明及财通证券股票和行业评级标准 35

行业深度分析报告/证券研究报告

元,包括 67 台面板光刻设备);Nikon 公司销售光刻设备 2112 亿日元(19.37 亿美 元,包括 46 台面板光刻设备)。而 ASML 公司 2021 年销售金额为 186 亿欧元,全

部为前道光刻设备,相对两家日本企业的领先优势不断扩大。

3.3ASML 的发展历程

ASML 难以撼动的行业地位并非一蹴而就,其最早是 1984 年飞利浦因为经营危机 放弃非核心业务而成立的一家小公司。ASML 于成立当年推出第一款产品 PAS 2000 步进重复式光刻机。1985 年,拥有 100 名员工的 ASML 搬迁到新总部,1986 年推出新款的 PAS 2500 光刻机,并与德国的重要供应商蔡司(ZEISS)建立了合

作关系。

图70.ASML 全球生产研发布局图

图71.ASML 历年营收规模(单位:百万欧元)

数据来源:ASML,财通证券研究所 数据来源:ASML, 财通证券研究所

1988 年,ASML 通过飞利浦在中国台湾的合资制造企业,进入亚洲市场,并在美 国设立了 5 个办事处。但当时激烈的市场竞争环境,使得 ASML 的财务压力极大,

只能依靠飞利浦的支持继续开展研发。

1991 年,ASML 推出 PAS 5500 型光刻机,其行业领先的产能和分辨率得到客户 认可,开始逐步实现盈利,并于 1995 年上市。ASML 此后高速发展,于 2001 年 推出TWINSCAN双工作台,之后几年推出了TWINSCAN XT系列浸没式光刻机,市场份额快速增长。2010 年 ASML 成功完成第一台 EUV 光刻机样机 NXE 3100,并成为 EUV 光刻机的唯一厂商。

谨请参阅尾页重要声明及财通证券股票和行业评级标准 36

行业深度分析报告/证券研究报告

图72.ASML 发展历史

数据来源:The Wave,财通证券研究所
ASML 公司的快速发展,离
交叉协议采用飞利浦的技术

双方在浸没式光刻的研发上
ASML 在与英特尔的合作中
立了 EUV 技术联盟。英特尔
弗莫尔国家实验室、桑迪亚
EUV 技术的研发开放了大量

此外,ASML 允许其大客户
总计约 39 亿欧元取得 23%的
光刻机的优先供货权,成功

表1.ASML 产品(包括光刻与量测设备)
不开与客户的紧密协作。台 生产芯片,因此也与其子公 一拍即合,奠定了 ASML
也受益颇丰。ASML 加入了 协调美国能源部及其下属三 国家实验室和劳伦斯伯克利 技术资源,进一步扩大了对
对其进行少数股权投资,英 股份,并提供 EUV 研发资 构筑了利益共同体。
积电(TSMC)早期 司 ASML保持着密切 浸没式光刻机的领先
英特尔联合政府、
大国家实验室:劳 实验室,为 ASM 其他企业的领先优
特尔、台积电、三 金 13.8 亿欧元,享受
曾通过 协作
地位

企业建 伦斯利 L 推进 势。
星投资 EUV
产品图片产品名称光源/射线源技术节点37
光刻机:
TWINSCAN NXE:3400C TWINSCAN NXE:3600D
EUV 13.5nm 波长3nm-7nm
谨请参阅尾页重要声明及财通证券股票和行业评级标准
行业深度分析报告/证券研究报告

光刻机:
TWINSCAN NXT:2050i TWINSCAN NXT:2000i TWINSCAN NXT:1980i TWINSCAN NXT:1970Ci

光刻机:
TWINSCAN NXT:1470K TWINSCAN XT:1460K
ArFi 193nm(等效 134nm)波55nm-7nm
ArF 193nm 波长65nm 及以上
光刻机:
TWINSCAN XT:1060K TWINSCAN NXT:870K TWINSCAN XT:860N TWINSCAN XT:860M

光刻机:
TWINSCAN XT:400L
KrF 248nm 波长110nm 及以上
i-line 365nm 波长220nm 及以上
量测设备:
YieldStar 1385 YieldStar 1375F YieldStar 380G YieldStar 375F
425nm -885nm 波长光源(未披露)
量测设备:
HMI eScan 1100 HMI eScan 1000
多束电子束(未披露)
谨请参阅尾页重要声明及财通证券股票和行业评级标准38
行业深度分析报告/证券研究报告

量测设备:电子束(未披露)
HMI eScan 600 HMI eP5
数据来源:ASML 官网,财通证券研究所
ASML 公司也格外重视上
源、镜头等领先技术,加
与 VDL,Aallberts,Trum
数据来源:ASML,财通证券研究所
3.4聚焦成熟制程,光
我国的光刻机产业起步于
第一台 65 型接触式光刻机
式光刻机,1980 年研制成
厂的研制的 JKG-3 型光刻

第四十五所于 1985 年成功
指标接近美国 GCA 公司 4

机械研究所研制的扫描式
于海外集成电路的强大竞
步于科研项目,缺乏产线
谨请参阅尾页重要声明及财通证券股票和行业评级标准
游关键供应链,通过收购 Cymer,入股蔡司,获取了光 速了 EUV 光源和光学系统的研发进程。此外 ASML 也 pf,Prodrive 等公司保持密切合作。
刻设备国产化亟待发力
1960 年代,109 厂与上海光学仪器厂协作研制成功我国。1978 年中科院半导体所开始研制 JK-1 型半自动接近 功。1981 年完成第二阶段工艺试验,同年上海光学机械
机通过鉴定与设计定型。
研制 BG-101 步进式光刻机,并通过了技术鉴定,性能 800DSW 系统的水平。同年,中国科学院上海光学精密 投影光刻机通过鉴定。但在 80 年代后期与 90 年代,由
争力,我国光刻机及相关技术进展缓慢,相关产品多止
量产验证。
39
图73.ASML 生态圈
行业深度分析报告/证券研究报告

图74.中国光刻机发展历程

数据来源:上海微电子官网,半导体观察,财通证券研究所
2002 年,上海微电子装备有限公司(SMEE)纳米分辨率 Arf 光刻机项目。通过参与 863 计划 刻机多项关键技术,并于 2016 年推出用于 IC 覆盖 90 纳米、110 纳米和 280 纳米,为浸没式
数据来源:上海微电子官网,财通证券研究所
作为中国国内唯一的光刻机整机厂商,上海微 覆盖了集成电路前道制造光刻、后道封装光刻、多个领域。其中在后道封装领域,上海微电子已 的市场份额。除服务集成电路产业外,上海微
谨请参阅尾页重要声明及财通证券股票和行业评级标准
成立,并承担了 863 计划中的 100 与 02 专项,上海微电子掌握了光 前道制造的 600 系列光刻机,工艺
光刻机的研发奠定了良好的基础。
电子在光刻领域的布局较为完善,
6 寸及以下衬底光刻、面板光刻等 经占据了中国国内 80%,全球 40%
电子的光刻机也广泛应用于集成电
40
图75.上海微电子发展历程
行业深度分析报告/证券研究报告

路前道、先进封装、FPD 面板 MEMS、LED、Power Devices 等制造领域。

图76.600 系列光刻机集成电路前道制造

数据来源:上海微电子官网,财通证券研究所
数据来源:上海微电子官网,财通证券研究所
SSX600 系列步进扫描投影光刻机采用四倍缩小倍率
调平技术,以及高速高精的自减振六自由度工件台掩
造 90nm、110nm、280nm 关键层和非关键层的光刻工 线或 12 寸线的大规模工业生产。

数据来源:上海微电子官网,财通证券研究所
数据来源:上海微电子官网,财通证券研究所
SSB500 系列步进投影光刻机主要应用于 200mm/300 包括 Flip Chip、Fan-In WLP、Fan-Out WLP 和 2.5D/3 Bumping、RDL 和 TSV 等制程的晶圆级光刻工艺需求。
谨请参阅尾页重要声明及财通证券股票和行业评级标准
的投影物镜、工艺自适应调焦 模台技术,可满足 IC 前道制 艺需求。该设备可用于 8 寸
mm 集成电路先进封装领域,D 等先进封装形式,可满足
41
表3.300 系列光刻机 —— LED、MEMS、Power Devices 制造
型号SSB500/40SSB500/50
分辨率 2μm1μm
曝光光源 ghi-line/gh line/i-line mercury lamp ghi-line/gh line/i-line mercury lamp
硅片尺寸 200mm/300mm 200mm/300mm
表2.600 系列光刻机性能参数
型号 SSA600/20 SSC600/10 SSB600/10
分辨率 90nm 110nm 280nm
曝光光源 ArF excimer laser KrF excimer laser i-line mercury lamp
镜头倍率 1:4 1:4 1:4
图77.500 系列光刻机 —— IC 后道先进封装
行业深度分析报告/证券研究报告

图78.300 系列光刻机集成电路前道制造

数据来源:上海微电子官网,财通证券研究所
数据来源:上海微电子官网,财通证券研究所
SSB300 系列步进投影光刻机面向 6 英寸以下中小基
HB-LED、MEMS 和 Power Devices 等领域单面或双面光刻工艺需求。
SSB200 系列投影光刻机采用先进的投影光刻机平台
LCD 显示屏 TFT 电路制造,可应用于 2.5 代~6 代的
设备具备高分辨率、高套刻精度等特性,支持 6 英寸掩模。
数据来源:上海微电子官网,财通证券研究所
数据来源:上海微电子官网,财通证券研究所
以上海微电子为首的国内光刻机产业链已初具雏形,
包括光源、物镜与照明系统、双工件台、浸没系统等
及量测检测的配套设备。
在分工上,上海微电子负责光刻机设计总装,北京科
谨请参阅尾页重要声明及财通证券股票和行业评级标准
底先进光刻应用领域,满足 光刻工艺需求。
技术,专用于 AM-OLED 和 TFT 显示屏量产线。该系列 掩模。
但除光刻机整机集成外,还 关键组成部分,与显影涂胶
益虹源生产光源系统,北京
42
表5.200 系列光刻机性能参数
型号SSB225/20SSB245/10SSB260/10T
分辨率2μm L/S-1.5μm L/S2μm L/S-1.5μm L/S2μm L/S-1.5μm L/S
套刻精度0.6μm-0.5μm-0.6μm-0.5μm0.6μm-0.5μm
基底尺寸370mm×470mm 500mm×500mm730mm×920mm1300mm×1500mm
1500mm×1850mm
表4.600 系列光刻机性能参数
型号 SSB300 SSB320 SSB380
分辨率 0.8μm2μm 1.5μm
曝光光源 i-line mercury lamp i-line mercury lampi-line mercury lamp
基底尺寸100/150 mm100/150 mm100/150mm
工艺应用 支持 LED PAD/PSS 工艺支持 LED PAD 工艺支持 LED PAD 工艺
图79.200 系列面板光刻机
行业深度分析报告/证券研究报告

国望光学供应物镜投影系统,国科精密提供照明系统,浙江启尔机电提供浸没系 统,华卓精科研发双工件台。美埃科技与苏大维格为国产光刻机提供空气净化器 与光栅;炬光科技与福晶科技为 ASML 供应商,未来也有望参与光刻国产化。

图80.中国光刻机相关技术

数据来源:各公司官网,清华-伯克利学院官网,财通证券研究所
4投资建议:整机尚需时日,
4.1苏大维格:发力非 IC 光刻机与多种光学元件

苏大维格深耕微纳光学产业,通过研发积累和 完善的微纳光学生产体系。公司业务涵盖上游光 公司与多方合作设立研发创新中心,开展底层 四大事业群,产品包括多种光刻机、压印设备 材料、导光板、导电膜等。运用于 AR 显示的
谨请参阅尾页重要声明及财通证券股票和行业评级标准
配套设备与零件先行
光学元件
不断的收购扩张,已经建立了较为 学制造设备与多种微纳光学产品;关键技术研究。公司整体布局分为、光刻机光栅防伪材料、新型包装 光波导镜片等也正在研发当中。
43
行业深度分析报告/证券研究报告

图81.苏大维格产品与技术布局

数据来源:苏大维格年报,财通证券研究所
苏大维格的设备产品,主要包括光刻设备和微 发设计生产;其设备满足公司自身高端光学产 代与升级,逐步构建了模块化、可升级和快速
数据来源:苏大维格官网,财通证券研究所
苏大维格的光刻机,为公司的产品与技术提供 品性能提升提供了坚实基础和有力保障,已经 3D 纳米导光板、光子晶体阵列、纳米光栅、动 制造中;通过微纳光学产品与上游制造装备的 保持在相关设备领域的优势。
谨请参阅尾页重要声明及财通证券股票和行业评级标准
纳光学装备两类,均系公司自主研 品生产需要。苏大维格通过持续迭 配置的光刻机平台。
可靠的研发生产平台;亦为公司产 使用在纳米透镜、全息透镜、裸眼 态衍射光学图形、纳米透镜阵列的 齐头并进协调发展,公司有望继续
44
图82.苏大维格的各类光刻设备
行业深度分析报告/证券研究报告
图83.苏大维格的光学产品

数据来源:苏大维格官网,财通证券研究所

苏大维格的产品也包括反光材料与微纳光学产品。反光材料的成本中,化工原料 占 40%,受大宗商品价格波动影响大;微纳光学产品中,导光板和导电膜产品用 于面板和消费电子领域;包装与防伪材料,用于高端消费品包装和证件防伪领域。

图84.苏大维格各类产品营收情况(单位:亿元)

20

15
10
5
0
行业深度分析报告/证券研究报告

图85.AR-HUD

数据来源:Smart Auto Club,财通证券研究所

图86.苏大维格光波导镜片

数据来源:苏大维格公众号,财通证券研究所

苏大维格在纳米光场调控 3D 显示、增强现实光波导 AR 镜片、等领域进行了前 瞻性布局,并积极与相关产业方合作。在 AR/VR 领域,公司攻克了纳米波导光场 镜片批量化关键技术,相关业务有望在未来实现较快增长。

此外,在消费电子之外的汽车领域,公司开发了用于 AR-HUD 的大幅面光波导模 组,具备超薄、大视场、远虚像视距的显示效果。目前,公司正推进相关技术的 进一步研发,与下游头部企业对接 AR-HUD 的技术和产品应用。

图87.光伏铜电镀技术以铜代银

数据来源:Duramat, 财通证券研究所

苏大维格在光伏领域,积极拓展自身设备和产品技术的市场。随着光伏高效电池 扩产落地,光伏电池用银需求将快速增长,银浆耗用量增大是限制光伏行业持续 推进降本增效的痛点之一。苏大维格的光刻机,在铜电镀光伏的图案化工艺方面有着广阔的发展潜力。铜电镀光伏技术的加速渗透,苏大维格也有望在相关领域实现 突破。

谨请参阅尾页重要声明及财通证券股票和行业评级标准 46

行业深度分析报告/证券研究报告

4.2茂莱光学:供应多种前道光刻机零件

茂莱光学专注于精密光学领域,是国内领先的工业级精密光学厂商。公司掌握抛 光技术、镀膜技术、多棱镜胶合技术,部分工艺可实现纳米级精度;在半导体应 用领域,公司的精密光学产品主要应用于半导体检测和光刻机中,根据弗若斯特 沙利文的报告,2021年公司在全球半导体领域工业级精密光学市场的占有率为 3.0

%。

表6.茂莱光学的半导体领域产品及应用
产品图例 产品名称 应用领域 应用实例

3D主要应用于半导体 3D 扫描传感器中,是
检测镜头锡焊膏检测、自动光学检测(AOI)、坐
标检测(CMM)系统的重要光学组件
紫外镜头主要应用于晶圆缺陷检测,是保证半导
体检测系统像质的重要组件,可实现较高
的分辨率和检测通量。
半导体 DUV 光用于光刻机光学系统照明、曝光模块,
学透镜是保证光刻机高成像质量的关键组件。
半导体检测该产品主要用于半导体装备晶圆缺陷检测
系统中,可提升有效视场范围,提高检测
光学模组
速度
数据来源:茂莱光学招股说明书,财通证券研究所
半导体检测设备中的光学成像系统对半导体检 为半导体检测设备提供高精度的光学显微成像 更大检测面积,能够较大地提高晶圆检测设备 目前已与 Camtek、KLA 等全球知名半导体检测
公司为光刻机光学系统提供用于匀光、中继照 及用于工件台位移测量系统的棱镜组件,是光 关键模块。茂莱光学的产品已应用于上海微电 光刻机国产化提供较好支撑。
谨请参阅尾页重要声明及财通证券股票和行业评级标准
测效果有关键影响,茂莱光学主要 镜头及系统,产品具备更高分辨率 的缺陷甄别能力及测量通量。公司 装备商建立合作。
明模块的光学器件、投影物镜,以 刻机实现光线均匀性与曝光成像的 子等国产厂商的光刻机中,有望为
47
行业深度分析报告/证券研究报告

4.3芯源微:光刻机配套显影涂胶设备先行者

沈阳芯源微成立于 2002 年,由中科院沈阳自动化研究所发起,公司产品包括涂胶 机、显影机、喷胶机、去胶机、湿法刻蚀机、单片清洗机。公司涂胶显影相关技 术积累深厚,涂胶显影机 offlineI-lineKrF 设备全部实现批量销售并正在快速 放量,并陆续获得中芯京城、上海华力、长江存储、合肥长鑫、武汉新芯、厦门 士兰集科、上海积塔、株洲中车、青岛芯恩、中芯绍兴、中芯宁波、昆明京东方 等大客户的订单。

芯源微于 2022 11 30 正式推出浸没式 ArF 涂胶显影机,该产品具有高产能、高工艺能力、高洁净度、高扩展性和易维护性等优势。目前,该款机型已通过客 户端验证,达到客户量产要求,成功打破国外垄断。

公司高额进行研发投入,2022 年前三季度累计研发投入 0.95 亿。此外,公司的新 上海临港厂区已于 2022 年 8 月 5 日动工,用于生产前道 ArF 涂胶显影机。充足的 研发支出和配套生产设施的建设有望保障高端 ArF 设备顺利投产,并尽快扩大 ArF 产品的工艺覆盖率,有力提升公司盈利能力。

图88.芯源微显影涂胶(喷胶)设备

数据来源:芯源微官网,财通证券研究所
4.4精测电子:光刻涂胶显影后电路量测设备
武汉精测电子集团股份有限公司创立于 2006 年
设备领域,成立上海精测半导体技术有限公司。
谨请参阅尾页重要声明及财通证券股票和行业评级标准
设备
4 月,公司于 2018 年进军半导体

上海精测半导体所生产的 OCD(
48
行业深度分析报告/证券研究报告

学关键尺寸测量设备) CD-SEM(关键尺寸扫描电镜)可用于光刻显影涂胶后,电路图案的检查。公司的 OCD 设备已通过工艺验证并实现小批量出货。

图89.精测电子可用于光刻工艺检测测量的产品

数据来源:精测电子官网,财通证券研究所
4.5盛美上海:开发显影涂胶设备,扩大产品工艺覆盖
盛美上海成立于 2005 年,公司集研发、设计、半导体清洗设备、光刻配套显影涂胶设备、半 等。
盛美上海于 2013 年开发了首个封装涂胶显影机 年 12 月 29 日,公司的 ArF 涂胶显影 Track 设备 内客户交付。该设备应用于 300 毫米晶圆产线 提供均匀的下降气流、高速稳定的机械手处理
该设备共有 4 个适用于 12 英寸晶圆的装载口,现精确控温和低破损,并支持 12 个涂胶腔体及 晶圆产能可达 300 片,增配后能达到每小时 40
数据来源:上海盛美官网,财通证券研究所
谨请参阅尾页重要声明及财通证券股票和行业评级标准
产品工艺覆盖
制造、销售于一体,主要产品包括 导体电镀设备和先进封装湿法设备
,并于 2014 年交付了给客户。2022 Ultra LITH 成功出机,向中国国,能实现与光刻机的联机工作,可 以及强大的软件系统。
8 个涂胶腔体、8 个显影腔体可实 12 个显影腔体拓展。设备每小时 0 片以上的产能。
49
图90. ArF 工艺涂胶显影 Track 设备 ULTRA LITH 产品图
行业深度分析报告/证券研究报告

4.6美埃科技:有力保证光刻净化环境

美埃科技自成立之日起,即专注于电子洁净室行业,在长期的运营和研发中积累 了大量半导体领域的相关经验。公司所生产的风机过滤单元(FFU)、高效过滤器、超高效过滤器、化学过滤器等产品,用于维护中芯国际较高端的 14 与 28 纳米产 线厂房的空气洁净度。上海微电子装备公司开发国内首台 ArFi 光刻设备,机台内 洁净环境等级需要达到国际最高标准(ISO Class 1 级)。美埃科技提供了光刻机 所需的 EFU(超薄型设备端自带风机过滤机组)及 ULPA(超高效过滤器)等产 品,并通过了相关验收。

图91.美埃科技产品结构

数据来源:美埃科技招股说明书,财通证券研究所

图92.上海微电子的高洁净度环境

数据来源:美埃科技官网,财通证券研究所

4.7福晶科技:激光晶体打入 ASML 供应链

福晶科技于 1990 年,由中国科学院福建物质结构研究所设立,2008 年 3 月于深交 所上市。公司是全球知名的 LBO 晶体、BBO 晶体、Nd:YVO4 晶体、磁光晶体、精密及超精密光学元件、高功率光隔离器、声光及电光器件的龙头厂商,产品广 泛应用于激光、光通讯、半导体、AR/VR、生命科学、无人驾驶、检测分析仪器 等诸多工业领域。

谨请参阅尾页重要声明及财通证券股票和行业评级标准 50

行业深度分析报告/证券研究报告

图93.福晶科技发展历程图94.福晶科技产品
数据来源:福晶科技官网,财通证券研究所数据来源:福晶科技官网,财通证券研究所
经过三十余年的发展,公
服务、业务管理等方面积
激光器件”一站式综合服务
开发、人才培养和协同创
和检测设备,建立了“原料
整的加工链。

4.8炬光科技:光刻机
炬光科技成立于 2007 年 9
储芯片退火的光学元器件
实现对激光光束的高度匀
核心光学系统供应商。

公司下游光刻市场的需求
品已达 1.27 亿元,较上年

谨请参阅尾页重要声明及财通证券股票和行业评级标准
司在晶体生长、光学加工、器件合成、市场营销、技术 累了丰富的经验,成为业内少数提供“晶体+光学元件+ 的供应商。公司设立了研发中心,注重研发投入、技术
新。公司自主开发了晶体生长炉,拥有国际先进的镀膜
合成-晶体生长-定向-切割-粗磨-抛光-镀膜”完
电子及光学元件供应商
月,目前拥有应用于光刻、逻辑芯片、功率器件及存
和激光模块与系统。其中,公司生产的光场匀化器,能 化,满足光刻机等高端应用需求,已供应荷兰 ASML
增长强劲,2022 年上半年,炬光科技泛半导体激光器产 同期 0.94 亿元有较大增长。
51
行业深度分析报告/证券研究报告

图95.炬光科技发展历程

数据来源:炬光科技官网,财通证券研究所
公司为光刻机等半导体和面板设备制造商等提供核心元器件,产品应用于先进制
造、医疗健康、科学研究、汽车应用、消费电子五大领域。
表7.炬光科技的主要产品
产品线典型产品名称产品图片产品功能及应用领域
半导体激 光元器件GS04 系列
QCW 传导冷却 半导体激光器 垂直阵列(准 连续)
传导冷却半导体激光器垂直叠阵,高峰值功率的激光应用于远距离测照,解决了由于空气散射等原因造成激光探测距离误差较大的问题。同时能够 在高温、震动等条件下工作,解决了在不同环境下的测照可靠性问题。
作为固体激光器的泵浦源,最终应用于激光测照、科学研究等领域。
VS300 系列
200W/bar CW 微通道冷却半

导体激光器垂
直叠阵
替代部分传统的加工制造工艺,以高能激光作为能量源,利用激光束与物 质相互作用,实现材料表面处理等加工处理。例如激光熔覆替代传统的表 面镀铬,可显著降低对环境的污染。
可直接应用于材料加工和晶圆退火等先进制造领域;也可作为固体激光器 的泵浦源,应用于科学研究等领域。
FCMSE55 系列 25W 多单管光 纤耦合模块通过半导体激光对病灶区域附近进行照射,ICG 荧光剂会被激发而产生波 长更长的红外光,最终通过 CCD 成像精准捕捉病灶区域,从而辅助医生进 行手术精准治疗。
作为激光光源,应用于激光荧光造影等医疗设备领域,实现手术辅助精准 治疗。
MF3013-500W 巴条耦合模块相对于传统的超声波焊接、振动焊接、热板焊接等方法,激光塑料焊接加 工精度较高,可实现精确控制,可焊接尺寸小或外形结构复杂的工件,焊 接过程产生的熔渣少,无耗材。
主要应用于固体激光器泵浦,或作为直接半导体激光光源用于塑料焊接工 业加工等领域。
激光光学 元器件快轴准直镜/慢 轴准直镜压缩激光光束的发散角,使激光光束更容易耦合进入光纤。准直后的发散 角越小,激光进入光纤的耦合效率越高,从而提升激光器芯片发射功率的 利用效率。
主要应用于光纤激光器泵浦源生产,最终应用于工业加工领域。
光束转换器光束转换器对激光阵列芯片的快慢轴进行旋转,使其快慢轴光束质量尽可 能对称,使光束能更容易耦合进入光纤,提升光纤耦合效率,实现高功率 的光纤耦合半导体激光器。
谨请参阅尾页重要声明及财通证券股票和行业评级标准52
行业深度分析报告/证券研究报告

主要用于高功率光纤耦合半导体激光器的生产,最终应用于工业加工领 域。
一体化准直镜基于双面结构的透镜实现对激光器快、慢轴两个方向的同时准直,从而减 少单个透镜的使用数量,实现体积小的激光器封装形式。
主要应用于封装形式紧凑的激光器,最终应用于激光投影显示等领域。
光纤耦合器将激光阵列芯片每个发光点发出的光进行光学整形,从而使光束更容易耦 合进入光纤,形成光纤耦合高功率半导体激光模块。
主要应用于高功率光纤耦合半导体激光器的生产,最终应用于工业加工领 域。
光场匀化器采用优质材料制成,例如熔融石英、CaF2,适用于多种不同激光源。在不 同的晶圆尺寸(最大 300 mm)上生产高精度的自由曲面透镜,可生成多种 光束形状- 平顶、矩形、方形和线形 -- 典型发散角从 0.20 度到 11.21 度。
通过光场匀化器对激光光斑进行匀化,可实现对半导体晶圆表面均匀曝 光,提升良率。
应用于光刻机的曝光系统,将激光光场强度进行匀化。
微透镜阵列使用高折射率玻璃材质,可将激光光束在单个方向上扩散,视场角可达 160°。使用折射光学元件(ROE)技术,无零级衍射,无热点。将激光均 匀投射在较小的视场角范围,使光强均匀分布。
应用于激光雷达发射模组、3D 成像等领域。
广角匀化扩散 器使用高折射率玻璃材质,可将激光光束在单个方向上扩散,视场角可达 160°。使用折射光学元件(ROE)技术,无零级衍射,无热点。将激光均 匀投射在较大的视场角范围,同时实现光强在整个视场角范围内的按照一 定曲线函数分布。
应用于激光雷达、3D 成像、机器视觉检测、医疗健康等领域。
微光学晶圆通过精密划切技术生产小微尺寸单只硅棱镜、柱镜等短波、中波红外用途 光学器件,可实现大矢高偏心微透镜阵列、闪耀光栅、啁啾阵列或集成棱 镜。
应用于制备微光学透镜等元器件。
数据来源:炬光科技公司官网,公司 2022 年半年报,财通证券研究所
5风险提示
半导体行业景气度下滑,光刻设备需求不及预 游晶圆厂扩产进度密切相关。2022 年下半年以 产能和资本开支,国内晶圆厂的产能利用率也 业链设备和零部件的需求。
贸易保护主义等因素延缓国内晶圆厂扩产步伐 台针对中国企业的新限制措施,对国内晶圆厂 会对国内光刻产业链的市场需求造成较大影响。
光刻设备与组件技术难度大,研发进度慢于预期
算法等领域的高难度技术,成功进入量产产线
验证;光刻设备及零件研发难度极大,若研发
相关企业未来的业绩。
谨请参阅尾页重要声明及财通证券股票和行业评级标准
期:光刻及配套设备的需求量与下 来,全球各大晶圆厂开始逐步削减 出现下滑,可能拖累国产内光刻产
:美国行政当局于 2022 年 10 月出 造成一定冲击,若扩产大幅放缓,。
:光刻设备涉及到光学、电子学、
需要在客户端进行较长时间的工艺
或工艺验证进度不及预期,会拖累
53
行业深度分析报告/证券研究报告

信息披露

分析师承诺

作者具有中国证券业协会授予的证券投资咨询执业资格,并注册为证券分析师,具备专业胜任能力,保证报告所采用的数 据均来自合规渠道,分析逻辑基于作者的职业理解。本报告清晰地反映了作者的研究观点,力求独立、客观和公正,结论 不受任何第三方的授意或影响,作者也不会因本报告中的具体推荐意见或观点而直接或间接收到任何形式的补偿。

资质声明

财通证券股份有限公司具备中国证券监督管理委员会许可的证券投资咨询业务资格。

公司评级

买入:相对同期相关证券市场代表性指数涨幅大于 10%;
增持:相对同期相关证券市场代表性指数涨幅在 5%~10%之间;
中性:相对同期相关证券市场代表性指数涨幅在-5%~5%之间;
减持:相对同期相关证券市场代表性指数涨幅小于-5%;
无评级:由于我们无法获取必要的资料,或者公司面临无法预见结果的重大不确定性事件,或者其他原因,致使我们无法 给出明确的投资评级。

行业评级

看好:相对表现优于同期相关证券市场代表性指数;
中性:相对表现与同期相关证券市场代表性指数持平;
看淡:相对表现弱于同期相关证券市场代表性指数。

免责声明

本报告仅供财通证券股份有限公司的客户使用。本公司不会因接收人收到本报告而视其为本公司的当然客户。本报告的信息来源于已公开的资料,本公司不保证该等信息的准确性、完整性。本报告所载的资料、工具、意见及推测只 提供给客户作参考之用,并非作为或被视为出售或购买证券或其他投资标的邀请或向他人作出邀请。

本报告所载的资料、意见及推测仅反映本公司于发布本报告当日的判断,本报告所指的证券或投资标的价格、价值及投资 收入可能会波动。在不同时期,本公司可发出与本报告所载资料、意见及推测不一致的报告。

本公司通过信息隔离墙对可能存在利益冲突的业务部门或关联机构之间的信息流动进行控制。因此,客户应注意,在法律 许可的情况下,本公司及其所属关联机构可能会持有报告中提到的公司所发行的证券或期权并进行证券或期权交易,也可 能为这些公司提供或者争取提供投资银行、财务顾问或者金融产品等相关服务。在法律许可的情况下,本公司的员工可能 担任本报告所提到的公司的董事。

本报告中所指的投资及服务可能不适合个别客户,不构成客户私人咨询建议。在任何情况下,本报告中的信息或所表述的 意见均不构成对任何人的投资建议。在任何情况下,本公司不对任何人使用本报告中的任何内容所引致的任何损失负任何 责任。

本报告仅作为客户作出投资决策和公司投资顾问为客户提供投资建议的参考。客户应当独立作出投资决策,而基于本报告 作出任何投资决定或就本报告要求任何解释前应咨询所在证券机构投资顾问和服务人员的意见;
本报告的版权归本公司所有,未经书面许可,任何机构和个人不得以任何形式翻版、复制、发表或引用,或再次分发给任 何其他人,或以任何侵犯本公司版权的其他方式使用。

谨请参阅尾页重要声明及财通证券股票和行业评级标准54
浏览量:841
栏目最新文章
最新文章